Skip to content

Commit 600e0f8

Browse files
authored
Merge pull request #1358 from slaclab/PgpRxVcFifo-update
Fixed Github CI for cocotb v2 + PgpRxVcFifo.vhd Update
2 parents fc56630 + a14c407 commit 600e0f8

Some content is hidden

Large Commits have some content hidden by default. Use the searchbox below for content that may be hidden.

49 files changed

+346
-262
lines changed

axi/axi-stream/ruckus.tcl

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -6,4 +6,4 @@ loadSource -lib surf -dir "$::DIR_PATH/rtl"
66
loadSource -lib surf -dir "$::DIR_PATH/ip_integrator"
77

88
# Load Simulation
9-
loadSource -lib surf -sim_only -dir "$::DIR_PATH/tb"
9+
loadSource -lib surf -sim_only -dir "$::DIR_PATH/tb" -fileType "VHDL 2008"

axi/axi-stream/tb/AxiStreamPipelineTb.vhd

Lines changed: 17 additions & 8 deletions
Original file line numberDiff line numberDiff line change
@@ -17,6 +17,9 @@ use ieee.std_logic_1164.all;
1717
use ieee.std_logic_unsigned.all;
1818
use ieee.std_logic_arith.all;
1919

20+
library std;
21+
use std.env.all;
22+
2023
library surf;
2124
use surf.StdRtlPkg.all;
2225
use surf.AxiStreamPkg.all;
@@ -226,15 +229,21 @@ begin
226229
end if;
227230
end process seq;
228231

229-
process(failed, passed)
232+
---------------------
233+
-- Report the Results
234+
---------------------
235+
process(clk)
230236
begin
231-
if failed = '1' then
232-
assert false
233-
report "Simulation Failed!" severity failure;
234-
end if;
235-
if passed = '1' then
236-
assert false
237-
report "Simulation Passed!" severity note;
237+
if rising_edge(clk) then
238+
if (failed = '1') then
239+
assert false
240+
report "Simulation Failed!" severity failure;
241+
end if;
242+
if (passed = '1') then
243+
assert false
244+
report "Simulation Passed!" severity note;
245+
std.env.finish;
246+
end if;
238247
end if;
239248
end process;
240249

axi/axi-stream/tb/AxiStreamPkgTb.vhd

Lines changed: 17 additions & 8 deletions
Original file line numberDiff line numberDiff line change
@@ -17,6 +17,9 @@ use ieee.std_logic_1164.all;
1717
use ieee.std_logic_unsigned.all;
1818
use ieee.std_logic_arith.all;
1919

20+
library std;
21+
use std.env.all;
22+
2023
library surf;
2124
use surf.StdRtlPkg.all;
2225
use surf.AxiStreamPkg.all;
@@ -156,15 +159,21 @@ begin
156159
end if;
157160
end process seq;
158161

159-
process(failed, passed)
162+
---------------------
163+
-- Report the Results
164+
---------------------
165+
process(clk)
160166
begin
161-
if failed = '1' then
162-
assert false
163-
report "Simulation Failed!" severity failure;
164-
end if;
165-
if passed = '1' then
166-
assert false
167-
report "Simulation Passed!" severity note;
167+
if rising_edge(clk) then
168+
if (failed = '1') then
169+
assert false
170+
report "Simulation Failed!" severity failure;
171+
end if;
172+
if (passed = '1') then
173+
assert false
174+
report "Simulation Passed!" severity note;
175+
std.env.finish;
176+
end if;
168177
end if;
169178
end process;
170179

axi/axi-stream/tb/AxiStreamResizeTb.vhd

100644100755
Lines changed: 11 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -17,6 +17,8 @@ use ieee.std_logic_1164.all;
1717
use ieee.std_logic_unsigned.all;
1818
use ieee.std_logic_arith.all;
1919

20+
library std;
21+
use std.env.all;
2022

2123
library surf;
2224
use surf.StdRtlPkg.all;
@@ -287,6 +289,15 @@ begin
287289
end if;
288290
end if;
289291
end loop;
292+
if (failed = '1') then
293+
assert false
294+
report "Simulation Failed!" severity failure;
295+
end if;
296+
if (uAnd(passed) = '1') then
297+
assert false
298+
report "Simulation Passed!" severity note;
299+
std.env.finish;
300+
end if;
290301
end if;
291302
end if;
292303
end process;

axi/axi4/ruckus.tcl

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -10,4 +10,4 @@ if { $::env(VIVADO_VERSION) > 0.0} {
1010
}
1111

1212
# Load Simulation
13-
loadSource -lib surf -sim_only -dir "$::DIR_PATH/tb"
13+
loadSource -lib surf -sim_only -dir "$::DIR_PATH/tb" -fileType "VHDL 2008"

axi/axi4/tb/AxiRamTb.vhd

Lines changed: 4 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -17,6 +17,9 @@ use ieee.std_logic_1164.all;
1717
use ieee.std_logic_unsigned.all;
1818
use ieee.std_logic_arith.all;
1919

20+
library std;
21+
use std.env.all;
22+
2023
library surf;
2124
use surf.StdRtlPkg.all;
2225
use surf.AxiPkg.all;
@@ -145,6 +148,7 @@ begin
145148
if (passed = '1') then
146149
assert false
147150
report "Simulation Passed!" severity note;
151+
std.env.finish;
148152
end if;
149153
end if;
150154
end process;

axi/axi4/tb/AxiRingBufferTb.vhd

Lines changed: 17 additions & 7 deletions
Original file line numberDiff line numberDiff line change
@@ -17,6 +17,9 @@ use ieee.std_logic_1164.all;
1717
use ieee.std_logic_unsigned.all;
1818
use ieee.std_logic_arith.all;
1919

20+
library std;
21+
use std.env.all;
22+
2023
library surf;
2124
use surf.StdRtlPkg.all;
2225
use surf.AxiPkg.all;
@@ -223,14 +226,21 @@ begin
223226
end if;
224227
end process seq;
225228

226-
process(failed, passed)
229+
---------------------
230+
-- Report the Results
231+
---------------------
232+
process(clk)
227233
begin
228-
if passed = '1' then
229-
assert false
230-
report "Simulation Passed!" severity note;
231-
elsif failed = '1' then
232-
assert false
233-
report "Simulation Failed!" severity failure;
234+
if rising_edge(clk) then
235+
if (failed = '1') then
236+
assert false
237+
report "Simulation Failed!" severity failure;
238+
end if;
239+
if (passed = '1') then
240+
assert false
241+
report "Simulation Passed!" severity note;
242+
std.env.finish;
243+
end if;
234244
end if;
235245
end process;
236246

axi/dma/ruckus.tcl

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -7,4 +7,4 @@ loadSource -lib surf -dir "$::DIR_PATH/rtl/v1"
77
loadSource -lib surf -dir "$::DIR_PATH/rtl/v2"
88

99
# Load Simulation
10-
loadSource -lib surf -sim_only -dir "$::DIR_PATH/tb"
10+
loadSource -lib surf -sim_only -dir "$::DIR_PATH/tb" -fileType "VHDL 2008"

axi/dma/tb/AxiStreamDmaReadTb.vhd

Lines changed: 16 additions & 8 deletions
Original file line numberDiff line numberDiff line change
@@ -17,6 +17,8 @@ use ieee.std_logic_1164.all;
1717
use ieee.std_logic_arith.all;
1818
use ieee.std_logic_unsigned.all;
1919

20+
library std;
21+
use std.env.all;
2022

2123
library surf;
2224
use surf.StdRtlPkg.all;
@@ -286,15 +288,21 @@ begin
286288
end if;
287289
end process seq;
288290

289-
process(failed, passed)
291+
---------------------
292+
-- Report the Results
293+
---------------------
294+
process(clk)
290295
begin
291-
if failed = '1' then
292-
assert false
293-
report "Simulation Failed!" severity failure;
294-
end if;
295-
if passed = '1' then
296-
assert false
297-
report "Simulation Passed!" severity note;
296+
if rising_edge(clk) then
297+
if (failed = '1') then
298+
assert false
299+
report "Simulation Failed!" severity failure;
300+
end if;
301+
if (passed = '1') then
302+
assert false
303+
report "Simulation Passed!" severity note;
304+
std.env.finish;
305+
end if;
298306
end if;
299307
end process;
300308

base/delay/ruckus.tcl

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -5,4 +5,4 @@ source $::env(RUCKUS_PROC_TCL)
55
loadSource -lib surf -dir "$::DIR_PATH/rtl"
66

77
# Load Simulation
8-
loadSource -lib surf -sim_only -dir "$::DIR_PATH/tb"
8+
loadSource -lib surf -sim_only -dir "$::DIR_PATH/tb" -fileType "VHDL 2008"

0 commit comments

Comments
 (0)