-
Notifications
You must be signed in to change notification settings - Fork 249
Adding support for Alveo U200/U250 to OpenPiton #133
New issue
Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.
By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.
Already on GitHub? Sign in to your account
Open
tianrui-wei
wants to merge
61
commits into
PrincetonUniversity:openpiton-dev
Choose a base branch
from
tianrui-wei:ft/u200
base: openpiton-dev
Could not load branches
Branch not found: {{ refName }}
Loading
Could not load tags
Nothing to show
Loading
Are you sure you want to change the base?
Some commits from the old base branch may be removed from the timeline,
and old review comments may become outdated.
Open
Changes from 50 commits
Commits
Show all changes
61 commits
Select commit
Hold shift + click to select a range
3b4730c
Add u200 support
acostillado 0c8eb58
Add necessary files
acostillado 8b2ff1b
Update the constraints file
acostillado b9f441e
Add the block design generation to the protosyn process. Still there …
acostillado e0d370e
Revert meep changes
acostillado 5162fef
Remove the sdcard from devices and fix a minur bug in the tcl script
acostillado d53e2d5
Explicity define zicsr for toolchain compatibility
acostillado 8dde886
Add xci files to the alveo folder
acostillado cdb84d3
Add the meep_shell bd and the corresponding adding process
acostillado 8c998be
Minor updates to the tcl sripting
acostillado 36bc81c
Introduce most of the RTL changes. Constraints need to be updated acc…
acostillado 6ba1981
Minor corrections
acostillado 324082d
Fixes
acostillado 3f996a5
Don't include the extension when importing bd files
acostillado b8a73c7
Fix
acostillado eae4e0b
More fixing
acostillado aded3ad
More fixes, detected during synthesis
acostillado cec972d
More fixes. Synthesis is closer. Constraints need still to be updated
acostillado c436c14
Update DDR4 constraints
acostillado 605159c
Route the DDR reference clock properly
acostillado 453984b
Refine the building process
acostillado 027da3e
Minor fixes
acostillado af9c028
Refine the building process
acostillado 6f6eec8
Update hte constraints. Some fixes
acostillado fa50958
More fixes
acostillado 27b53ed
Do not track block design generated files
acostillado e0fc813
Minor fix
acostillado 1a900a8
Revert introduced bug
acostillado 74b5a5e
Change where the BD temp project is created
acostillado 1879274
Fix
acostillado f013614
Add constraints for the GPIO async reset signals
acostillado c85c908
Reduce the PCIe link rate to make timing closure easier
acostillado 7466824
Fix reset polarity bug
acostillado fac4880
Hot fix
acostillado 951f971
Set the memory size in devices_ariane.xml to the right size
acostillado 6595003
Fix MMCM clock input value
acostillado 7376bf1
Add register slices to the XBAR
acostillado a7a5691
Fix reset direction on the mc
acostillado f992373
Tune timing constraint
acostillado 296fe73
feat: temp commit for a working repo
tianrui-wei 57b8172
feat: add the wiring properly in tcl file
tianrui-wei a0d04cd
feat: temp u200 wiring
tianrui-wei fb89e09
feat: update gitmodule
tianrui-wei b0b031d
feat: revert a wrong change
tianrui-wei 4402eaf
feat: make ariane default
tianrui-wei e07cbeb
Merge branch 'ft/u200' of github.com:tianrui-wei/openpiton into ft/u200
tianrui-wei e10ca20
Remove hardcoded part names beyond the board.tcl script
acostillado 725a843
Subsitute hardcoded names for variables to make the script reusable f…
acostillado 3887608
chore: commit u250 changes
tianrui-wei b63f536
Merge remote-tracking branch 'custom/ft/u250' into ft/u200
tianrui-wei f538e8b
chore: address comments
tianrui-wei 21d84c1
chore: macros and startup.S
tianrui-wei f22f7cf
chore: remove last macros
tianrui-wei a26a44a
chore: add license
tianrui-wei b09be4d
chore: add license again
tianrui-wei f2d4d5c
chore: remove ila probes
tianrui-wei e9507ed
chore: remove top level led for alveo
tianrui-wei 99b5e46
chore: remove alveo led
tianrui-wei 926db5a
chore: change constraint path for sdc
tianrui-wei 06d0e98
Fix macros in chipset.v
Jbalkind bf0b475
Fixing uart_boot_en for u200 in chipset_impl.v.pyv
Jbalkind File filter
Filter by extension
Conversations
Failed to load comments.
Loading
Jump to
Jump to file
Failed to load files.
Loading
Diff view
Diff view
There are no files selected for viewing
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
142 changes: 142 additions & 0 deletions
142
piton/design/chipset/io_ctrl/xilinx/alveou200/ip_cores/uart_16550/uart_16550.xci
Large diffs are not rendered by default.
Oops, something went wrong.
758 changes: 758 additions & 0 deletions
758
piton/design/chipset/io_ctrl/xilinx/alveou250/ip_cores/uart_16550/uart_16550.xci
Large diffs are not rendered by default.
Oops, something went wrong.
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,301 @@ | ||
|
||
Jbalkind marked this conversation as resolved.
Show resolved
Hide resolved
|
||
`include "mc_define.h" | ||
|
||
`include "noc_axi4_bridge_define.vh" | ||
|
||
module u200_shell_top ( | ||
Jbalkind marked this conversation as resolved.
Outdated
Show resolved
Hide resolved
|
||
|
||
input logic pcie_refclk_clk_n , | ||
input logic pcie_refclk_clk_p , | ||
input logic pcie_perstn , | ||
input logic [15:0] pci_express_x16_rxn , | ||
input logic [15:0] pci_express_x16_rxp , | ||
output logic [15:0] pci_express_x16_txn , | ||
output logic [15:0] pci_express_x16_txp , | ||
input logic resetn , | ||
|
||
output logic c0_ddr4_act_n, | ||
output logic [16:0] c0_ddr4_adr, | ||
output logic [1:0] c0_ddr4_ba, | ||
output logic [1:0] c0_ddr4_bg, | ||
output logic [0:0] c0_ddr4_ck_c, | ||
output logic [0:0] c0_ddr4_ck_t, | ||
output logic [0:0] c0_ddr4_cke, | ||
output logic [0:0] c0_ddr4_cs_n, | ||
inout wire [71:0] c0_ddr4_dq, | ||
inout wire [17:0] c0_ddr4_dqs_c, | ||
inout wire [17:0] c0_ddr4_dqs_t, | ||
output logic [0:0] c0_ddr4_odt, | ||
output logic c0_ddr4_par, | ||
output logic c0_ddr4_reset_n, | ||
output logic c0_ddr4_ui_clk_sync_rst, | ||
|
||
// Reference clock | ||
input logic c0_sysclk_clk_n, | ||
input logic c0_sysclk_clk_p, | ||
// input mc_clk , | ||
// input mc_rstn , | ||
output logic chip_rstn , | ||
input logic chipset_clk , | ||
input logic chipset_rstn , | ||
output logic c0_init_calib_complete, | ||
|
||
input logic [`NOC_DATA_WIDTH-1:0] mem_flit_in_data , | ||
input logic mem_flit_in_val , | ||
output logic mem_flit_in_rdy , | ||
|
||
output logic [`NOC_DATA_WIDTH-1:0] mem_flit_out_data , | ||
output logic mem_flit_out_val , | ||
input logic mem_flit_out_rdy | ||
); | ||
|
||
logic mc_rst; | ||
logic mc_clk; | ||
|
||
|
||
logic trans_fifo_val; | ||
logic [`NOC_DATA_WIDTH-1:0] trans_fifo_data; | ||
logic trans_fifo_rdy; | ||
|
||
logic fifo_trans_val; | ||
logic [`NOC_DATA_WIDTH-1:0] fifo_trans_data; | ||
logic fifo_trans_rdy; | ||
|
||
logic [`AXI4_ID_WIDTH -1:0] m_axi_awid; | ||
(*mark_debug="TRUE"*) logic [`AXI4_ADDR_WIDTH -1:0] m_axi_awaddr; | ||
Jbalkind marked this conversation as resolved.
Outdated
Show resolved
Hide resolved
|
||
logic [`AXI4_LEN_WIDTH -1:0] m_axi_awlen; | ||
logic [`AXI4_SIZE_WIDTH -1:0] m_axi_awsize; | ||
logic [`AXI4_BURST_WIDTH -1:0] m_axi_awburst; | ||
logic m_axi_awlock; | ||
logic [`AXI4_CACHE_WIDTH -1:0] m_axi_awcache; | ||
logic [`AXI4_PROT_WIDTH -1:0] m_axi_awprot; | ||
logic [`AXI4_QOS_WIDTH -1:0] m_axi_awqos; | ||
logic [`AXI4_REGION_WIDTH -1:0] m_axi_awregion; | ||
logic [`AXI4_USER_WIDTH -1:0] m_axi_awuser; | ||
(*mark_debug="TRUE"*) logic m_axi_awvalid; | ||
(*mark_debug="TRUE"*) logic m_axi_awready; | ||
|
||
logic [`AXI4_ID_WIDTH -1:0] m_axi_wid; | ||
logic [`AXI4_DATA_WIDTH -1:0] m_axi_wdata; | ||
logic [`AXI4_STRB_WIDTH -1:0] m_axi_wstrb; | ||
logic m_axi_wlast; | ||
logic [`AXI4_USER_WIDTH -1:0] m_axi_wuser; | ||
logic m_axi_wvalid; | ||
logic m_axi_wready; | ||
|
||
logic [`AXI4_ID_WIDTH -1:0] m_axi_arid; | ||
(*mark_debug="TRUE"*) logic [`AXI4_ADDR_WIDTH -1:0] m_axi_araddr; | ||
logic [`AXI4_LEN_WIDTH -1:0] m_axi_arlen; | ||
logic [`AXI4_SIZE_WIDTH -1:0] m_axi_arsize; | ||
logic [`AXI4_BURST_WIDTH -1:0] m_axi_arburst; | ||
logic m_axi_arlock; | ||
logic [`AXI4_CACHE_WIDTH -1:0] m_axi_arcache; | ||
logic [`AXI4_PROT_WIDTH -1:0] m_axi_arprot; | ||
logic [`AXI4_QOS_WIDTH -1:0] m_axi_arqos; | ||
logic [`AXI4_REGION_WIDTH -1:0] m_axi_arregion; | ||
logic [`AXI4_USER_WIDTH -1:0] m_axi_aruser; | ||
(*mark_debug="TRUE"*) logic m_axi_arvalid; | ||
(*mark_debug="TRUE"*) logic m_axi_arready; | ||
|
||
logic [`AXI4_ID_WIDTH -1:0] m_axi_rid; | ||
logic [`AXI4_DATA_WIDTH -1:0] m_axi_rdata; | ||
logic [`AXI4_RESP_WIDTH -1:0] m_axi_rresp; | ||
logic m_axi_rlast; | ||
logic [`AXI4_USER_WIDTH -1:0] m_axi_ruser; | ||
(*mark_debug="TRUE"*)logic m_axi_rvalid; | ||
(*mark_debug="TRUE"*)logic m_axi_rready; | ||
|
||
logic [`AXI4_ID_WIDTH -1:0] m_axi_bid; | ||
logic [`AXI4_RESP_WIDTH -1:0] m_axi_bresp; | ||
logic [`AXI4_USER_WIDTH -1:0] m_axi_buser; | ||
(*mark_debug="TRUE"*)logic m_axi_bvalid; | ||
(*mark_debug="TRUE"*)logic m_axi_bready; | ||
|
||
noc_bidir_afifo mig_afifo ( | ||
.clk_1 ( chipset_clk ), | ||
.rst_1 ( ~chipset_rstn ), | ||
|
||
.clk_2 ( mc_clk ), | ||
.rst_2 ( mc_rst ), | ||
|
||
// CPU --> MIG | ||
.flit_in_val_1 ( mem_flit_in_val ), | ||
.flit_in_data_1 ( mem_flit_in_data ), | ||
.flit_in_rdy_1 ( mem_flit_in_rdy ), | ||
|
||
.flit_out_val_2 ( fifo_trans_val ), | ||
.flit_out_data_2 ( fifo_trans_data ), | ||
.flit_out_rdy_2 ( fifo_trans_rdy ), | ||
|
||
// MIG --> CPU | ||
.flit_in_val_2 ( trans_fifo_val ), | ||
.flit_in_data_2 ( trans_fifo_data ), | ||
.flit_in_rdy_2 ( trans_fifo_rdy ), | ||
|
||
.flit_out_val_1 ( mem_flit_out_val ), | ||
.flit_out_data_1 ( mem_flit_out_data ), | ||
.flit_out_rdy_1 ( mem_flit_out_rdy ) | ||
); | ||
|
||
|
||
noc_axi4_bridge noc_axi4_bridge ( | ||
.clk ( mc_clk ), | ||
.rst_n ( ~mc_rst ), | ||
.uart_boot_en ( 1'b0 ), | ||
.phy_init_done ( c0_init_calib_complete ), | ||
|
||
.src_bridge_vr_noc2_val ( fifo_trans_val ), | ||
.src_bridge_vr_noc2_dat ( fifo_trans_data ), | ||
.src_bridge_vr_noc2_rdy ( fifo_trans_rdy ), | ||
|
||
.bridge_dst_vr_noc3_val ( trans_fifo_val ), | ||
.bridge_dst_vr_noc3_dat ( trans_fifo_data ), | ||
.bridge_dst_vr_noc3_rdy ( trans_fifo_rdy ), | ||
|
||
.m_axi_awid ( m_axi_awid ), | ||
.m_axi_awaddr ( m_axi_awaddr ), | ||
.m_axi_awlen ( m_axi_awlen ), | ||
.m_axi_awsize ( m_axi_awsize ), | ||
.m_axi_awburst ( m_axi_awburst ), | ||
.m_axi_awlock ( m_axi_awlock ), | ||
.m_axi_awcache ( m_axi_awcache ), | ||
.m_axi_awprot ( m_axi_awprot ), | ||
.m_axi_awqos ( m_axi_awqos ), | ||
.m_axi_awregion ( m_axi_awregion ), | ||
.m_axi_awuser ( m_axi_awuser ), | ||
.m_axi_awvalid ( m_axi_awvalid ), | ||
.m_axi_awready ( m_axi_awready ), | ||
|
||
.m_axi_wid ( m_axi_wid ), | ||
.m_axi_wdata ( m_axi_wdata ), | ||
.m_axi_wstrb ( m_axi_wstrb ), | ||
.m_axi_wlast ( m_axi_wlast ), | ||
.m_axi_wuser ( m_axi_wuser ), | ||
.m_axi_wvalid ( m_axi_wvalid ), | ||
.m_axi_wready ( m_axi_wready ), | ||
|
||
.m_axi_bid ( m_axi_bid ), | ||
.m_axi_bresp ( m_axi_bresp ), | ||
.m_axi_buser ( m_axi_buser ), | ||
.m_axi_bvalid ( m_axi_bvalid ), | ||
.m_axi_bready ( m_axi_bready ), | ||
|
||
.m_axi_arid ( m_axi_arid ), | ||
.m_axi_araddr ( m_axi_araddr ), | ||
.m_axi_arlen ( m_axi_arlen ), | ||
.m_axi_arsize ( m_axi_arsize ), | ||
.m_axi_arburst ( m_axi_arburst ), | ||
.m_axi_arlock ( m_axi_arlock ), | ||
.m_axi_arcache ( m_axi_arcache ), | ||
.m_axi_arprot ( m_axi_arprot ), | ||
.m_axi_arqos ( m_axi_arqos ), | ||
.m_axi_arregion ( m_axi_arregion ), | ||
.m_axi_aruser ( m_axi_aruser ), | ||
.m_axi_arvalid ( m_axi_arvalid ), | ||
.m_axi_arready ( m_axi_arready ), | ||
|
||
.m_axi_rid ( m_axi_rid), | ||
.m_axi_rdata ( m_axi_rdata ), | ||
.m_axi_rresp ( m_axi_rresp ), | ||
.m_axi_rlast ( m_axi_rlast ), | ||
.m_axi_ruser ( m_axi_ruser ), | ||
.m_axi_rvalid ( m_axi_rvalid ), | ||
.m_axi_rready ( m_axi_rready ) | ||
|
||
); | ||
|
||
meep_shell meep_shell_i ( | ||
|
||
.c0_sysclk_clk_p ( c0_sysclk_clk_p ), | ||
.c0_sysclk_clk_n ( c0_sysclk_clk_n ), | ||
.c0_ddr4_ui_clk ( mc_clk ), | ||
.c0_ddr4_ui_clk_sync_rst ( mc_rst ), | ||
.c0_init_calib_complete ( c0_init_calib_complete ), | ||
|
||
// DDR4 physicall interface | ||
.c0_ddr4_act_n ( c0_ddr4_act_n ), // cas_n, ras_n and we_n are multiplexed in ddr4 | ||
.c0_ddr4_adr ( c0_ddr4_adr ), | ||
.c0_ddr4_ba ( c0_ddr4_ba ), | ||
.c0_ddr4_bg ( c0_ddr4_bg ), // bank group address | ||
.c0_ddr4_ck_t ( c0_ddr4_ck_t ), | ||
.c0_ddr4_ck_c ( c0_ddr4_ck_c ), | ||
.c0_ddr4_cke ( c0_ddr4_cke ), | ||
.c0_ddr4_cs_n ( c0_ddr4_cs_n ), | ||
.c0_ddr4_dq ( c0_ddr4_dq ), | ||
.c0_ddr4_dqs_c ( c0_ddr4_dqs_c ), | ||
.c0_ddr4_dqs_t ( c0_ddr4_dqs_t ), | ||
.c0_ddr4_odt ( c0_ddr4_odt ), | ||
.c0_ddr4_par ( c0_ddr4_par ), // output logic c0_ddr4_parity | ||
.c0_ddr4_reset_n ( c0_ddr4_reset_n ), | ||
|
||
// DDR4 control interface, not used, grounded | ||
.c0_ddr4_s_axi_ctrl_awvalid(1'b0 ), // input logic c0_ddr4_s_axi_ctrl_awvalid | ||
.c0_ddr4_s_axi_ctrl_awready( ), // output logic c0_ddr4_s_axi_ctrl_awready | ||
.c0_ddr4_s_axi_ctrl_awaddr (32'b0 ), // input logic [31 : 0] c0_ddr4_s_axi_ctrl_awaddr | ||
.c0_ddr4_s_axi_ctrl_wvalid (1'b0 ), // input logic c0_ddr4_s_axi_ctrl_wvalid | ||
.c0_ddr4_s_axi_ctrl_wready ( ), // output logic c0_ddr4_s_axi_ctrl_wready | ||
.c0_ddr4_s_axi_ctrl_wdata (32'b0 ), // input logic [31 : 0] c0_ddr4_s_axi_ctrl_wdata | ||
.c0_ddr4_s_axi_ctrl_bvalid ( ), // output logic c0_ddr4_s_axi_ctrl_bvalid | ||
.c0_ddr4_s_axi_ctrl_bready (1'b0 ), // input logic c0_ddr4_s_axi_ctrl_bready | ||
.c0_ddr4_s_axi_ctrl_bresp ( ), // output logic [1 : 0] c0_ddr4_s_axi_ctrl_bresp | ||
.c0_ddr4_s_axi_ctrl_arvalid(1'b0 ), // input logic c0_ddr4_s_axi_ctrl_arvalid | ||
.c0_ddr4_s_axi_ctrl_arready( ), // output logic c0_ddr4_s_axi_ctrl_arready | ||
.c0_ddr4_s_axi_ctrl_araddr (32'b0 ), // input logic [31 : 0] c0_ddr4_s_axi_ctrl_araddr | ||
.c0_ddr4_s_axi_ctrl_rvalid ( ), // output logic c0_ddr4_s_axi_ctrl_rvalid | ||
.c0_ddr4_s_axi_ctrl_rready (1'b0 ), // input logic c0_ddr4_s_axi_ctrl_rready | ||
.c0_ddr4_s_axi_ctrl_rdata ( ), // output logic [31 : 0] c0_ddr4_s_axi_ctrl_rdata | ||
.c0_ddr4_s_axi_ctrl_rresp ( ), // output logic [1 : 0] c0_ddr4_s_axi_ctrl_rresp | ||
|
||
.chip_rstn ( chip_rstn ), | ||
|
||
// AXI4 Memory Interface | ||
.c0_ddr4_s_axi_awid ( m_axi_awid), // input logic [15 : 0] c0_ddr4_s_axi_awid | ||
.c0_ddr4_s_axi_awaddr ( m_axi_awaddr), // input logic [34 : 0] c0_ddr4_s_axi_awaddr | ||
.c0_ddr4_s_axi_awlen ( m_axi_awlen), // input logic [7 : 0] c0_ddr4_s_axi_awlen | ||
.c0_ddr4_s_axi_awsize ( m_axi_awsize), // input logic [2 : 0] c0_ddr4_s_axi_awsize | ||
.c0_ddr4_s_axi_awburst ( m_axi_awburst), // input logic [1 : 0] c0_ddr4_s_axi_awburst | ||
.c0_ddr4_s_axi_awlock ( m_axi_awlock), // input logic [0 : 0] c0_ddr4_s_axi_awlock | ||
.c0_ddr4_s_axi_awcache ( m_axi_awcache), // input logic [3 : 0] c0_ddr4_s_axi_awcache | ||
.c0_ddr4_s_axi_awprot ( m_axi_awprot), // input logic [2 : 0] c0_ddr4_s_axi_awprot | ||
.c0_ddr4_s_axi_awqos ( m_axi_awqos), // input logic [3 : 0] c0_ddr4_s_axi_awqos | ||
.c0_ddr4_s_axi_awvalid ( m_axi_awvalid), // input logic c0_ddr4_s_axi_awvalid | ||
.c0_ddr4_s_axi_awready ( m_axi_awready), // output logic c0_ddr4_s_axi_awready | ||
.c0_ddr4_s_axi_wdata ( m_axi_wdata), // input logic [511 : 0] c0_ddr4_s_axi_wdata | ||
.c0_ddr4_s_axi_wstrb ( m_axi_wstrb), // input logic [63 : 0] c0_ddr4_s_axi_wstrb | ||
.c0_ddr4_s_axi_wlast ( m_axi_wlast), // input logic c0_ddr4_s_axi_wlast | ||
.c0_ddr4_s_axi_wvalid ( m_axi_wvalid), // input logic c0_ddr4_s_axi_wvalid | ||
.c0_ddr4_s_axi_wready ( m_axi_wready), // output logic c0_ddr4_s_axi_wready | ||
.c0_ddr4_s_axi_bready ( m_axi_bready), // input logic c0_ddr4_s_axi_bready | ||
.c0_ddr4_s_axi_bid ( m_axi_bid), // output logic [15 : 0] c0_ddr4_s_axi_bid | ||
.c0_ddr4_s_axi_bresp ( m_axi_bresp), // output logic [1 : 0] c0_ddr4_s_axi_bresp | ||
.c0_ddr4_s_axi_bvalid ( m_axi_bvalid), // output logic c0_ddr4_s_axi_bvalid | ||
.c0_ddr4_s_axi_arid ( m_axi_arid), // input logic [15 : 0] c0_ddr4_s_axi_arid | ||
.c0_ddr4_s_axi_araddr ( m_axi_araddr), // input logic [34 : 0] c0_ddr4_s_axi_araddr | ||
.c0_ddr4_s_axi_arlen ( m_axi_arlen), // input logic [7 : 0] c0_ddr4_s_axi_arlen | ||
.c0_ddr4_s_axi_arsize ( m_axi_arsize), // input logic [2 : 0] c0_ddr4_s_axi_arsize | ||
.c0_ddr4_s_axi_arburst ( m_axi_arburst), // input logic [1 : 0] c0_ddr4_s_axi_arburst | ||
.c0_ddr4_s_axi_arlock ( m_axi_arlock), // input logic [0 : 0] c0_ddr4_s_axi_arlock | ||
.c0_ddr4_s_axi_arcache ( m_axi_arcache), // input logic [3 : 0] c0_ddr4_s_axi_arcache | ||
.c0_ddr4_s_axi_arprot ( m_axi_arprot), // input logic [2 : 0] c0_ddr4_s_axi_arprot | ||
.c0_ddr4_s_axi_arqos ( m_axi_arqos), // input logic [3 : 0] c0_ddr4_s_axi_arqos | ||
.c0_ddr4_s_axi_arvalid ( m_axi_arvalid), // input logic c0_ddr4_s_axi_arvalid | ||
.c0_ddr4_s_axi_arready ( m_axi_arready), // output logic c0_ddr4_s_axi_arready | ||
.c0_ddr4_s_axi_rready ( m_axi_rready), // input logic c0_ddr4_s_axi_rready | ||
.c0_ddr4_s_axi_rlast ( m_axi_rlast), // output logic c0_ddr4_s_axi_rlast | ||
.c0_ddr4_s_axi_rvalid ( m_axi_rvalid), // output logic c0_ddr4_s_axi_rvalid | ||
.c0_ddr4_s_axi_rresp ( m_axi_rresp), // output logic [1 : 0] c0_ddr4_s_axi_rresp | ||
.c0_ddr4_s_axi_rid ( m_axi_rid), // output logic [15 : 0] c0_ddr4_s_axi_rid | ||
.c0_ddr4_s_axi_rdata ( m_axi_rdata), // output logic [511 : 0] c0_ddr4_s_axi_rdata | ||
// PCIe | ||
.pci_express_x16_rxn(pci_express_x16_rxn), | ||
.pci_express_x16_rxp(pci_express_x16_rxp), | ||
.pci_express_x16_txn(pci_express_x16_txn), | ||
.pci_express_x16_txp(pci_express_x16_txp), | ||
.pcie_perstn(pcie_perstn), | ||
.pcie_refclk_clk_n(pcie_refclk_clk_n), | ||
.pcie_refclk_clk_p(pcie_refclk_clk_p), | ||
.resetn(resetn) | ||
); | ||
|
||
endmodule | ||
|
Oops, something went wrong.
Add this suggestion to a batch that can be applied as a single commit.
This suggestion is invalid because no changes were made to the code.
Suggestions cannot be applied while the pull request is closed.
Suggestions cannot be applied while viewing a subset of changes.
Only one suggestion per line can be applied in a batch.
Add this suggestion to a batch that can be applied as a single commit.
Applying suggestions on deleted lines is not supported.
You must change the existing code in this line in order to create a valid suggestion.
Outdated suggestions cannot be applied.
This suggestion has been applied or marked resolved.
Suggestions cannot be applied from pending reviews.
Suggestions cannot be applied on multi-line comments.
Suggestions cannot be applied while the pull request is queued to merge.
Suggestion cannot be applied right now. Please check back later.
Uh oh!
There was an error while loading. Please reload this page.