Skip to content

Commit ffb1bde

Browse files
committed
SystemVerilog and moving to logic
1 parent f52449f commit ffb1bde

File tree

2 files changed

+7
-7
lines changed

2 files changed

+7
-7
lines changed

info.yaml

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -4,7 +4,7 @@ project:
44
author: "Steve Jenson <[email protected]>" # Your name
55
discord: "shorts_weather" # Your discord username, for communication and automatically assigning you a Tapeout role (optional)
66
description: "An example implementation the JTAG TAP state machine and basic boundary scan." # One line description of what your project does
7-
language: "Verilog" # other examples include SystemVerilog, Amaranth, VHDL, etc
7+
language: "SystemVerilog" # other examples include SystemVerilog, Amaranth, VHDL, etc
88
clock_hz: 0 # Clock frequency in Hz (or 0 if not applicable)
99

1010
# How many tiles your design occupies? A single tile is about 167x108 uM.

src/byte_transmitter.v

Lines changed: 6 additions & 6 deletions
Original file line numberDiff line numberDiff line change
@@ -8,13 +8,13 @@
88
module byte_transmitter (
99
`ifdef FORMAL (*gclk*)
1010
`endif
11-
input wire clk_tck,
12-
input wire reset,
13-
input wire enable,
11+
input logic clk_tck,
12+
input logic reset,
13+
input logic enable,
1414
// TODO: make size configurable
15-
input wire [31:0] in, // byte_buffer
16-
output wire out,
17-
output wire done
15+
input logic [31:0] in, // byte_buffer
16+
output logic out,
17+
output logic done
1818
);
1919

2020
`ifdef FORMAL

0 commit comments

Comments
 (0)